博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:6.7.1 put_response 与 get_response
阅读量:4221 次
发布时间:2019-05-26

本文共 309 字,大约阅读时间需要 1 分钟。

1.sequence 需要根据driver对 transaction 的反应来决定接下来要发送的transaction。

2.如果要response,那么在sequence 中需要get_response 任务:

3.driver 中使用put_response 任务:

1)set_id_info 将req 的id等信息复制到rsp 中。

2)由于可能存在多个sequence 在同一个sequencer 上,只有设置了rsp的 id等信息,sequencer 才知道将response 返回给哪个sequence。

4.除了使用put_response外,还可以讲response 作为item_done 的参数:

你可能感兴趣的文章
对CODEFISH的意见
查看>>
新的构架
查看>>
微软真强啊!这么恶心的model(转自msdn)-----front controller
查看>>
10个月以后 重新开启我的Blog
查看>>
认输了
查看>>
学校的日子
查看>>
我的项目,我的起点
查看>>
决定不逃课了~~~
查看>>
遇到技术问题~~
查看>>
终于弄懂了聊天室的各种技术了
查看>>
母函数算法---组合数学
查看>>
分手快乐---(哪个更好呢)
查看>>
要考试--大敌当前
查看>>
linux 编译技术 6级强化
查看>>
扩大工作室?
查看>>
拜读ms的开源代码
查看>>
下一个技术瓶颈 ~~
查看>>
谢谢让我看到了这本书
查看>>
不牵手的浪漫
查看>>
姥姥的生日~~
查看>>